OpenPOWER Microwatt

Microwatt 是一款以簡潔和可理解性作為設計重點的開源軟核處理器,由 Anton Blanchard 在 IBMVHDL 編寫而成,初於 OpenPOWER Summit NA 2019 上亮相[2] ,並於 2019 年 8 月在 GitHub 上發布。此核心支持 Power ISA 3.0 指令集,並可在 FPGA 上合成。軟體方面,此核心可啟動主線 LinuxMicroPythonZephyr[3] [4] [5] [6] [7] [8]

OpenPOWER Microwatt
產品化2019-08-29[1]
設計團隊IBM, OpenPOWER Foundation
指令集架構Power ISA 3.0
ppc64le
ppc64be
核心數量1
應用平台Soft core

Microwatt 的設計和開發工作使用 VHDL 2008 和 GHDL 仿真環境進行,其 GitHub 項目主頁上有關於常見工作流的文檔,其中包括了在 Xilinx FPGA 上使用 Vivado 工具鏈合成並測試 Microwatt 核心的指南。[9]

設計

編輯

Microwatt 是一款微型 64 位雙端純量整數處理器核心,實現了 Power ISA 3.0 指令集的子集,並使用 Wishbone 作為內存接口。其具有 32 個 64 位通用暫存器和 32 個 64 位浮點暫存器[4]

使 Microwatt 在最低限度上兼容該指令集的初始開發工作只用了幾個月,最初的設計中不包含內存管理單元(MMU)和浮點單元,但包括了指令集中的整個整數處理部分 [4]。此後,更多功能被加入了 Microwatt 之中,包括 JTAG 調試器接口、除法器指令、16 KB 指令和 32 KB 數據緩存支持以及 MMU(不支持虛擬機管理程序)、流水線[4]浮點支持。 [10]

Chiselwatt

編輯

另一個實現 Power ISA 3.0 指令集的開放處理器核心 Chiselwatt 是 Microwatt 的兄弟項目,區別在於它是用基於 ScalaChisel 設計語言而不是 VHDL 編寫的。 [11] [12]

實現和用例

編輯
  • Microwatt 本身
  • Libre-SOC: 一個正在開發中的開放硬體 SoC 系統,使用 Microwatt 作為參考設計 [13]
  • Kestrel:被 Raptor Engineering 用於旗下 POWER9 工作站的 BMC [14] [15]

歷史

編輯

Microwatt 是第一個實現開放 Power ISA 3.0 指令集的新處理器,由 OpenPOWER 基金會作為參考設計發布。該項目開始時的目標是作為 Power ISA 3.0 開源指令集計劃的演示、概念驗證和參考實現,[16]而作者 Anton Blanchard 的目標則是看看自己作為一名軟體開發人員,能否完成具有挑戰性的底層硬體設計工作。 [2] [3]

Microwatt 核心計劃於 2021 年通過 Efabless 的 「Open MPW Shuttle Program」計劃以 130 nm 工藝流片,然而截止 2024 年 2 月,關於此項目的 Efabless 主頁沒有新的信息或是進度公示。[17][18]

另見

編輯

參考

編輯
  1. ^ Williams, Chris. Get your royalty-free soft-core OpenPOWER processor core blueprints here. Extra, extra – read all about it. The Register. 2019-08-29 [2024-02-16]. (原始內容存檔於2024-03-07) (英語). 
  2. ^ 2.0 2.1 OpenPOWER Summit NA 2019: Day 2 Keynote Demonstration: Anton Blanchard, IBM & Joe DeLaere, Xilinx. [2024-02-16]. (原始內容存檔於2024-02-16). 
  3. ^ 3.0 3.1 "POWER OpenISA and Microwatt introduction" - Anton Blanchard (LCA 2020). [2024-02-16]. (原始內容存檔於2024-02-16). 
  4. ^ 4.0 4.1 4.2 4.3 "Microwatt Microarchitecture" - Paul Mackerras (LCA 2020). [2024-02-16]. (原始內容存檔於2024-02-16). 
  5. ^ Microwatt and the POWER ISA support in Renode. [2024-02-16]. (原始內容存檔於2024-02-16). 
  6. ^ Linux on Microwatt. [2024-02-16]. (原始內容存檔於2024-02-16). 
  7. ^ OpenPOWER opens further. [2024-02-16]. (原始內容存檔於2024-02-16). 
  8. ^ Day 2 keynote and OpenPOWER blows the doors off: Royalty-free, open soft-core (RISC-V sweating gallons). [2024-02-16]. (原始內容存檔於2024-02-16). 
  9. ^ Blanchard, Anton, antonblanchard/microwatt, 2024-02-12 [2024-02-15], (原始內容存檔於2024-02-16) 
  10. ^ Microwatt Floats. [2024-02-16]. (原始內容存檔於2024-02-16). 
  11. ^ Chiselwatt's page on Github. [2024-02-16]. (原始內容存檔於2024-02-16). 
  12. ^ Final Draft of the Power ISA EULA Released. [2024-02-16]. (原始內容存檔於2024-05-24). 
  13. ^ Libre-SOC. [2024-02-16]. (原始內容存檔於2024-05-20). 
  14. ^ Raptor Announces Kestrel Open-Source, Open HDL/Firmware Soft BMC. [2024-02-16]. (原始內容存檔於2022-01-19). 
  15. ^ Kestrel SoftBMC Project. [2024-02-16]. (原始內容存檔於2024-03-13). 
  16. ^ Big Blue open sources POWER chip instruction set. [2024-02-16]. (原始內容存檔於2024-03-18). 
  17. ^ Efabless. Efabless. [2024-02-16]. (原始內容存檔於2024-02-16) (英語). 
  18. ^ Open MPW metadata for Microwatt. [2021-03-30]. (原始內容存檔於2021-02-28). 

外部連結

編輯